1

Following is the code: The intent of the code is to calculate the number of leading zero's from one of the register. I just want to calculate the leading zero's from the register significand just once. I have to use an always block for this. Now I have initially assigned a as 1'b1 and later on I have changed it to 1'b0, so that the block gets executed once. If I try to simulate the code. The always block doesn't executes. However If I later assign a as 0'b1 (which doesn't make any sense). The code simulates properly in the Simulator. But if I synthesize the code on the FPGA kit, It gives some erraneous result. Please help me

integer count,index;
wire a;
assign a=1'b1;
always@(a)
begin
    for(count=0;count<7;count=count+1) begin
        index=4*count;
        if((significand[index  ]==1'b0) && (significand[index+1]==1'b0) &&
           (significand[index+2]==1'b0) && (significand[index+3]==1'b0))
             lzero=lzero+1;
    end 
end
assign a=1'b0;
// If I use assign a=0'b1, it simulates properly, 
// but 0'b1 doesn't make any sense, also If I keep 0'b1, 
// I dont get proper result in actual synthesis onto the board.

Actually my intent of asking the question was, as to how I should be able to use a " always " block. Since I just wanted to execute this block only once, so I need not set "posedge clk" or " negedge clock " with always. So what should I do ?? Please Help because my project demands me High usage of for loop if else loops

3 Answers 3

5

You look like a software engineer trying to write a program, and not trying to describe hardware.

You have to think very much in parallel when you are coding.

Your code says "continuously and always assign the value of one to a" then later it says "continuously and always assign the value of 0 to a". This is obviously not what you want. Those assign statements are NOT temporal as you want them to be.

So you want something like this (in pseudo code): 1) Read the input register 2) Count the number of 0s 3) Output the number of 0s

In software you'd do step 2 like this:

for i=msb to lsb loop
   if (input[i] == 1) then break;
end loop
return i;

For loops in HW either means writing a state machine (think of how you do for loops in a functional language with recursion and one of the inputs is the index that you are up to). Or we can unroll the loop ourselves.

if (msb == 1 ) then return 0
else if (msb-1 == 1) then return 1
...
else if (msb-31 == 1) then return 31
else return 32
end if
1

What you can do is to create a flag, set the flag to 0 at start and in your always block, check for the value of your flag, if the flag is equal to 0 it means that this is the first time your flag, perform your operation and inside there, set your flag to 1.

This way you guarantee that you will only perform those operations once at start up.

2
  • @ FarhadA : Sir, by using your suggestion, I was able to get the correct simulation result but failed to get the correct synthesis result on the Board. I am checking the result on the board by lighting LED's .. Link to my code: dl.dropbox.com/u/86119115/leading_zeros.PNG Jul 1, 2012 at 7:09
  • You are missing one important part, you forgot to check for the flag before getting into the loop. Add that, and I am sure you will see a different result.
    – FarhadA
    Jul 2, 2012 at 20:21
1

There are a few things here which appear to be incorrect use of the verilog language for describing hardware.

If this was a testbench component that needed initialising then initial begin .. end would be the way to do this.

If this intended for synthesis and only to be ran once then an always block does not strike me as the correct way to design it. If it is to be ran once at power up then it could be pre calculated (parameter) and therefore fixed in the compilation/synthesis.

If it is based on an input, which can change, the should there not be an enable to run the calculation. As this input might not be set at time 0, depending on the power up sequence of the hardware.

If this is for synthesis the following would be more suitable:

module count_lzero(
  input             clk,
  input             rst_n,
  input             enable, // Toggle high 1 Clk to calc new lzero
  output reg [31:0] lzero
);

always @(posedge clk or negedge rst_n) begin
  if (~rst_n) begin
    lzero <= 'b0;
  end
  else if ( enable ) begin
    for(count=0;count<7;count=count+1) begin
        index=4*count;
        if((significand[index  ]==1'b0) && (significand[index+1]==1'b0) &&
           (significand[index+2]==1'b0) && (significand[index+3]==1'b0))
             lzero<=lzero+1;
    end 
  end
end

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.