7

I tried Vivado and Quartus, but both of them are quite heavy, and the tools are very complicated for a starter. Is there a lightweight free IDE + Simulator for a starter who is learning VHDL?

4 Answers 4

14

VHDL-Simulation:

There are ports of the linux programs GHDL and GTKwave for windows:

GHDL is a command line tool to compile VHDL files and "simulate" them. the output is a waveform file, which can be viewed/inspected by gtkwave. You can write some scripts (I would advice PowerShell) to automate the compilation or you can use a build tool.

In my opinion (Quartus) ModelSim / QuestaSim is not lightweight. The Xilinx simulators iSim (included in ISE) and xSim (included in Vivado) are good enough for every day simulations. ghdl is very fast, but does not support all (advanced) VHDL features.

VHDL-IDEs:

If you are familiar with state-of-the art coding environments like Visual Studio, SharDevelop, MonoDevelop, Eclipse or NetBeans then I would say coding HDLs is still in the stone-age !!

All big vendors offer free HDL toolchains, which are paided by the devices, but these tools are only multi-tabbed text editors with a design hierarchy viewer and some buttons to hide the command line tool executions.

The mentioned Sigasi Eclipse plugin (there is also e free version) is new on the market and has some advantages. This plugin is under constant development and still increasing in its features. I tested several versions in the past, but I'm not satisfied with some features so I'm still using ISE :)

As a students I have not the chance to try some professional tools from Aldec, Mentor, ...

3
  • Hello, Is there a complete tool (IDE + Simulator + Viewer) in Windows. It seems complicated to install and configure all of them? Aug 16, 2014 at 15:04
  • If you want to have a all-in-one toolchain, you should use Xilinx tools. Alteras Quartus is only for synthesis, for simulation they bought a version of ModelSim and ship this tool with Quartus. Sigasis eclipse plugin is only an editor, neither synthesis nor simulation.
    – Paebbels
    Aug 16, 2014 at 21:40
  • Note that ModelSim provided by Altera is not part of Quartus, but ModelSim can be downloaded and installed separately; see link given in answer above. Aug 16, 2014 at 21:44
12

For simulation, ModelSim-Altera Starter Edition is a free version of ModelSim provided by Altera, and is very user friendly and widely used. It has a build in editor with VHDL color coding, so you can do editing, compile, and simulation from within ModelSim.

Vivado (Xilinx) and Quartus (Altera) are synthesis tools, which can transform your VHDL design files into a hardware representation that can be downloaded into an FPGA. These synthesis tools are used for step two, after a design has been created and simulated to verify proper operation.

2

If you are a student, you can get a free student license for Sigasi. It's an eclipse based vhdl IDE, with all the modern IDE features like on the fly error checking, formatting, hovers...

It has integration with Modelsim, ISim and riviera, Sigasi compiles the the vhdl files for you in the background and you can start the simulator with 1 click. It's not the 100% IDE experience but it's as close as you can get for VHDL.

-3

VHDL is complicated and not lightweight. Live with it.

For a list of free simulators, see: http://www.sigasi.com/faq/which-free-vhdl-simulator-can-i-use

2
  • That list is very out-of-date on its comments about GHDL. See Paebbels answer for a newer ghdl version (for OSX too!)
    – user1818839
    Sep 1, 2014 at 15:39
  • He didn't talk about VHDL, he talked about simulators. That's a difference.
    – Sebi2020
    Jan 13, 2020 at 7:19

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.