1

I have a CPLD with a digital input representing a reset button. When the reset button is pressed, the signal goes high. What I need to do is have a register whose value tells if the button has ever been pressed. Basically a latch. When the button goes high, a latch register goes high and stays high forever.

I thought this would be straightforward, but I got a bunch of warnings when I tried to code it up. A little Googling showed "Don't make latches in HDL! Bad practice!", but I don't really see the alternative here.

Here's my attempt. clk_10m is a fast free-running clock, pwr_off_req is the button input.

reg pwr_off_req_latched = 0;

always @ (clk_10m or pwr_off_req) begin

   if (pwr_off_req == 1'b1)
      pwr_off_req_latched <= 1'b1;   
   else
      pwr_off_req_latched <= pwr_off_req_latched;
      // I tried this to make sure it's always set to something          
end

3 Answers 3

3

Latches can create problems for timing analysis tools. They also don't map to certain (FPGA) architectures directly, so are much harder for the place-and-route tools. Hence the warnings.

However, what you are asking for is not a latch as I understand the digital logic sense - merely a flipflop which doesn't ever get reset.

So, it can be simplified to a simple d-type flipflop with the D input tied to 1 and the clk input connected to your pwr_off_req signal:

reg pwr_off_req_latched = 0;

always @ (posedge pwr_off_req) begin
      pwr_off_req_latched <= 1'b1;   
end

You'll have no noise rejection on that at all - any positive going edge will latch the flipflop to 1.

If I were doing this, I would run the input into a double-flip-flop synchroniser and then count a few clock pulses of the synchronised signal to make sure it's not noise before setting the latched signal. Unless you are expecting real events shorter than a few clock pulses that'd the way to do it.


Aside:

A "latch" in the digital logic world usually means either

  • a circuit whose output holds whichever of the two inputs was last high (a Set/Reset or SR latch)
  • a circuit whose output holds the input value while a control signal is inactive, but follows the input when the control signal is low - a transparent latch

This is in comparison to a flipflop, whose output holds some aspect related to the input(s) when the control signal changes (usually) from low to high, and ignores the inputs except for a tiny time window around that rising edge. These are D-type, T-type and JK-type flipflops, depending on how the output behaves relative to the input.

3
  • 1
    A latch is of potential use here, use the asynchronous input as the enable and tie the input high. Then any glitch on the input will open the latch letting the tie high through. Then put this through meta-stability to put on the synchronous clk domain. Very similar you your example. Using data as a clk for flip flops can also cause a few tool issues.
    – Morgan
    Jan 30, 2013 at 20:25
  • I sort of went down this path, but this wouldn't handle the case where the button is asserted at power up. It is possible that the user can be holding this button down when the part powers up. That's why I thought it was necessary to get the free running clock involved in the always trigger.
    – BabaBooey
    Jan 30, 2013 at 23:32
  • 1
    @Tim (OP Tim I assume, not 16K Tim?): yes, that would work also. I'd somehow got the feeling you wanted to avoid clocks altogether! If you do do this, the output of that flipflop is still potentially metastable, so you need to follow it with a couple more flipflops to synchronise it Jan 31, 2013 at 21:42
2

Can you assume that the pulse length of the button press is much longer than the clock frequency of your device? If it's a physical button I think that is a very safe assumption. In that case I think this would work perfectly fine:

always @(clk_10m) 
   pwr_off_req_latched <= power_off_req_latched | power_off_req;
2
  • Still need to watch for that flip flop going metastable on what is almost definitely an asynchronous input... Jan 30, 2013 at 18:50
  • Beautiful! I hadn't considered straight or'ing logic like this. Thanks.
    – BabaBooey
    Jan 31, 2013 at 18:13
1

Latches are not bad in HDL they just require some consideration, implied latches from forgetting to specify else clauses in combinatorial sections are bad because you do not end up with the hardware you expect, and can create timing problems.

If you are applying a reset you might need to specify a 'pragma' so that the synthesis tool correctly identifies it.

Also latches should use = not <=, when they are enabled they are combinatorial (open) and will not break feedback loops.

This is typical way to create a latch with an asynchronous reset:

//synopsys async_set_reset "rst_an"
always @* begin
  if (~rst_an) begin
    // Reset 
    x = 1'b0;
  end
  else if (latch_open) begin
    //next datavalue
    x = y ;
  end
end

In your case you might want something like :

//synopsys async_set_reset "rst_an"
always @* begin
  if (~rst_an) begin
    pwr_off_req_latched = 1'b0;
  end
  else if ( pwr_off_req ) begin
    pwr_off_req_latched = 1'b1 ;
  end
end
2
  • Unfortunately there is no reset signal like "rst_an" in your example. I suppose I could simulate one with a counter at startup?
    – BabaBooey
    Jan 31, 2013 at 18:15
  • I do not have much experience of FPGA, ASIC only, we generally have a power on reset to define the initial state. As long as you can set the latch to hold 0 on power up and not leave it undefined you should be ok.
    – Morgan
    Jan 31, 2013 at 22:27

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.