3

This is my verilog code:

//state reg
(* syn_encoding = "safe" *)reg [3:0] ns_sig, cs_sig;
//state parameters
localparam 
    sLOW    = 4'b0001,
    sTO_HIGH    = 4'b0010,
    sHIGH   = 4'b0100,
    sTO_LOW = 4'b1000;

always @(posedge clk or negedge rst_n)
    if (!rst_n)
        cs_sig <= sLOW;
    else
        cs_sig <= ns_sig;

always @(*)
begin
    ns_sig = cs_sig;
    case (cs_sig)
        sLOW:
            if (sig == `HIGH)
                ns_sig = sTO_HIGH;
        sTO_HIGH:
            if (valid_HIGH == `ON)
                ns_sig = sHIGH;
            else if (sig == `OFF)
                ns_sig = sLOW;
        sHIGH:
            if (sig == `OFF)
                ns_sig = sTO_LOW;
        sTO_LOW:
            if (valid_LOW == `ON)
                ns_sig = sLOW;
            else if (sig == `ON)
                ns_sig = sHIGH;
        default:
            ns_sig = sLOW;
    endcase
end

always @(posedge clk)
begin
    if (cs_sig == sTO_HIGH)
        cnt_HIGH <= cnt_HIGH + {{(pWIDTH-1){1'b0}}, 1'b1};
    else
        cnt_HIGH <= {(pWIDTH){1'b0}};
end

assign
    valid_HIGH = (cnt_HIGH == pHIGH_DEPTH -1)? `ON:`OFF;

always @(posedge clk)
begin
    if (cs_sig == sTO_LOW)
        cnt_LOW <= cnt_LOW + {{(pWIDTH-1){1'b0}}, 1'b1};
    else
        cnt_LOW <= {(pWIDTH){1'b0}};
end

assign
    valid_LOW = (cnt_LOW == pLOW_DEPTH - 1)?`ON:`OFF;


always @(posedge clk)
begin
    if (cs_sig == sHIGH)
        sig_sf <= `HIGH;
    else if (cs_sig == sLOW)
        sig_sf <= `LOW;
end

It is a binary signal filter block aiming to nail the glitches on a physical port and can be used as a delayer at the same time.

It runs good on simulation, but not on board. I ran the code on a Altrea EP2C35 chip and it went wrong every now and then. Normally the sig_sf would be pLOW_DEPTH (I set it to 200) clks behind input sig, but it would be sometimes just 2 clks behind.

I added a signaltap to see what happened, and it turned out that the statemachine went wrong. The valid values on signaltap were: sLOW, sTO_LOW, sHIGH, s_TO_HIGH, just as I set. But it went to 4'h1 at some neg-edge of sig and it resets to sLOW.

But I don't understand why, I am sure that the input wave from a generator is just fine.

So HELP me with this, thanks!!

1 Answer 1

3

Your always @(*) creates combinatorial logic based on internal (clocked) signals and your external input. The external input can change at any time, so sometimes your registered logic in always @(posedge clk) blocks will see glitches because the output of your combinatorial logic did not meet the setup and hold times required by the registers in the FPGA. Before you can do "large scale" de-glitching of your input you need to do single-clk scale de-glitching of your input. You do that with a synchronizer.

See Understanding Metastability in FPGAs for a white paper on the subject by Altera. If you Google synchronizer / metastability you'll find tons of references.

You might be wondering why simulation doesn't show the problem. This kind of issue is detected by the timing analyzer, but you have to tell it about your signals in the constraints file so it knows what's going on. There's nothing wrong with your code. The problem is the physical signal sig. If the timing analyzer knew it was in a clock domain unrelated to clk it could warn you.

Also, you have found the danger of one-hot encoding in state machines: Your 4-bit state variables have 4 valid values and 12 invalid "holes" you can fall into, leaving your machine in limbo.

1
  • thanks a lot. A few minutes ago, I changed the encoding attribute to user and encode the states with 2-bit grey code, and the program ran correctly. And according to your answer, I guess I need to add an FF between sig and the state-machine, and then it would be all right.
    – Mr.Zhou
    Jan 13, 2014 at 7:41

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.