0

I am working on a vhdl module.

I want to sum up the input values for 6 clock cycles and then set the output high or low depending on if a threshold has been reached.

The problem I am having is that on the last clock cycle, the sum value does not have the final input value added in. I need to have the output high on the rising edge of the clock.

Here is the code:

architecture Behavioral of inputCounter is

signal totalBitWidth     : integer := 6;

-- This signal is specified by the user to determine the majority value
-- for the output.
signal majorityValue     : integer := 4;
signal Sum : integer := 0;

process(clk, input)
    variable clkCount     : integer := 0;
begin
    if input = '1' then
        Sum <= Sum + 1;
    else
        Sum <= Sum + 0;
    end if;

    clkCount := clkCount + 1;

    if clkCount >= (totalBitWidth) then
    -- Determine if the majoritySum variable has met the
    -- threshold for a 1 value
    if Sum >= majorityValue then
    output <= '1';
    else
    output <= '0';
    end if;

    if Sum = totalBitWidth Or Sum = 0 then
    countError <= '0';
    else
    countError <= '1';
    end if;

    -- Reset the clock counter, sum value and majority vector
    clkCount := 0;
    Sum <= 0;

    -- Set the bit counter high to alert other midules that a new bit
    -- has been received
    bitReady <= '1';
end process;
end behavioral;

If you need more info, let me know. Thanks for the help.

UPDATE: I was messing around with the sum integer and I changed it to a variable in the process instead of an overall signal in the architecture. This seems to have worked. But since I am using ISim and ISE Project navigator, I am unable to trace the variable from the process.

4
  • You can always copy the variable to a signal as the last line of your process.
    – user1818839
    Mar 14, 2013 at 14:48
  • @BrianDrummond - I am OK with using a variable, but I did assign it to a signal like you said so I can trace the value but it is the same as before. The signal does not update fast enough since my Sum variable is being incremented to a value of 6 and then checked against the threshold value and then reset all on the rising edge of the clock. Thanks for the help though.
    – RXC
    Mar 14, 2013 at 15:04
  • I meant , copy it to a signal just to allow monitoring. You need to compare variable = threshold if you need the updated value in the same cycle. This is basic to the way signals allow inter-process synchronisation.
    – user1818839
    Mar 14, 2013 at 15:20
  • @BrianDrummond - OK. That's what I ended up changing. I changed the actual value that is updating with the input to a variable and then set the signal equal to the variable like you said. I am able to see the correct output and results. Thanks again.
    – RXC
    Mar 14, 2013 at 15:24

2 Answers 2

1

The solution for this was to change my signal into a variable in the process.

Here is my code:

    architecture Behavioral of inputCounter is

signal totalBitWidth     : integer := 6;

signal majorityValue     : integer := 4;
-- This signal is to trace the variable sum
signal SumS              : integer := 0;



begin

-- Process for recognizing a single input value from a 6 clock cycle
-- wide input signal
majority_proc: process(clk, input)
    variable clkCount     : integer := 0;
    variable Sum  : integer := 0;

    begin

        if rising_edge(clk) And enable = '1' then
            -- Reset bitReady after one clock cycle
            bitReady <= '0';

            -- Check the input value and add it to the Sum variable
            if input = '1' then
                Sum := Sum + 1;
            else
                Sum := Sum + 0;
            end if;

            -- Increment the clock counter variable
            clkCount := clkCount + 1;

            -- Check if the clock count has reached the specified number of cycles
            if clkCount >= totalBitWidth then
                -- Determine if the Sum variable has met the threshold for
                -- value of 1, set the output accordingly
                if Sum >= majorityValue then
                    output <= '1';
                else
                    output <= '0';
                end if;

                -- This checks if the value for all clock cycles was the same and
                -- sets an error flag if not
                if Sum = totalBitWidth Or Sum = 0 then
                    countError <= '0';
                else
                    countError <= '1';
                end if;

                -- Reset the clock counter and sum value
                clkCount := 0;
                Sum := 0;

                -- Set the bit counter high to alert other midules that a new bit
                -- has been received
                bitReady <= '1';
            end if;
        end if;

        -- Assign the variable Sum to the signal SumS
        SumS <= Sum;
end process;

end Behavioral;
1

If you have to change the output at the end of the same clock cycle you get your last input, you need to break apart the accumulator register and the adder. Put the accumulator register and the output compare logic in your process, and pull the adder into asynchronous logic. Abbreviated example code:

process (clk)
  if rising_edge(clk) and enable='1' then
    accumulator <= sum;
    if sum >= majorityValue then
      output <= '1';
    else
      output <= '0';
    end if;
  end if;
end process;

sum <= accumulator + 1 when input='1' else accumulator;

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.