1

i am making a midi interface. UART works fine, it sends the 8 bit message along with a flag to a control unit. When the flag goes high, the unit will store the message in a register and make a clr_flag high in order to set the flag of UART low again. The problem is that i can not make this clr_flag one period long. I need it to be ONE period long, because this signal also controls a state machine that indicates what kind of message is being stored (note_on -> key_note -> velocity, for example).

My question here is, how can a signal (flag in this case) trigger a pulse just for one clk period? what i have now makes almost a pulse during a clock period, but i does it twice, because the flag has not become 0 yet. ive tried many ways and now i have this:

get_data:process(clk, flag)
  begin
  if reset = '1' then
    midi <= (others => '0');
    clr_flag <= '0';
    control_flag <= '0';

  elsif ((clk'event and clk='1') and flag = '1') then
      midi <= data_in;
      clr_flag <= '1'; 
      control_flag <= '1';     
  elsif((clk'event and clk='0') and control_flag = '1') then
    control_flag <= '0';
  elsif((clk'event and clk='1') and control_flag = '0') then
    clr_flag <= '0';
  end if;
end process;

the problem with this double pulse or longer than one period pulse(before this, i had something that made clr_flag a two period clk pulse), is that the system will go though two states instead of one per flag.

so in short: when one signal goes high (independent of when it goes low), a pulse during one clock period should be generated.

thanks for your help.

1
  • I only started studying vhdl and there is some magic for me, that's why I answer in the comment. Try remove clk'event from elsif. Sometimes it's helped me. Nov 24, 2013 at 13:37

9 Answers 9

6

The trick to making a single cycle pulse is realising that having made the pulse, you have to wait as long as the trigger input is high before getting back to the start. Essentially you are building a very simple state machine, but with only 2 states you can use a simple boolean to tell them apart.

Morten is correct about the need to adopt one of the standard patterns for a clocked process; I have chosen a different one that works equally well.

get_data:process(clk, reset)
   variable idle : boolean;
begin
   if reset = '1' then
      idle := true;
   elsif rising_edge(clk) then
      clr_flag <= '0';     -- default action
      if idle then
         if flag = '1' then
            clr_flag <= '1';  -- overrides default FOR THIS CYCLE ONLY
            idle <= false;
         end if;
      else
         if flag = '0' then
            idle := true;
         end if;
      end if;
  end if;
end process;
4
  • Is it not better to explicit the two state instead of using "idle" and "not idle" ? Apr 24, 2019 at 11:48
  • @AyoubBargach You can, but "better" in what sense? If you look at the definition of the boolean type, you will see an enumeration type with two values; the forms are identical, only the names are different.
    – user1818839
    Apr 24, 2019 at 22:14
  • Where did you declare the clr_flag and flag variable?
    – Unknown123
    Oct 30, 2019 at 15:28
  • @Unknown123 They aren't variables, and I just assumed declarations from the question.
    – user1818839
    Oct 30, 2019 at 20:52
2

There are several issues to address in order to make the design for a one cycle pulse using flip flops (registers).

First, the use of flip flops in hardware through VHDL constructions typically follows a structure like:

process (clk, reset) is
begin
  -- Clock
  if rising_edge(clk) then
    -- ... Flip flops to update at rising edge
  end if;
  -- Reset
  if reset = '1' then
    -- Flip flops to update at reset, which need not be all
  end if;
end process;

So the get_data process should be updated accordingly, thus:

  • Sensitivity list should contain only clock (clk) and reset
  • The nested structure with if on event should be as above
  • Only rising edge of clk should be used, thus no check on clk = '0'

Making a one cycle pulse on clr_flag when flag goes high can be made with a synchronous '0' to '1' detector on flag, using a version of flag that is delayed a single cycle, called flag_ff below, and then checking for (flag = ''1) and (flag_ff = '0').

The resulting code may then look like:

get_data : process (clk, reset) is
begin
  -- Clock
  if rising_edge(clk) then
    flag_ff  <= flag;  -- One cycle delayed version
    clr_flag <= '0';   -- Default value with no clear
    if (flag = '1') and (flag_ff = '0') then  -- Detected flag going from '0' to '1'
      midi     <= data_in;
      clr_flag <= '1';  -- Override default value making clr_flag asserted signle cycle
    end if;
  end if;
  -- Reset
  if reset = '1' then
    midi     <= (others => '0');
    clr_flag <= '0';
    -- No need to reset flag_ff, since that is updated during reset anyway
  end if;
end process;
1

Synchronisation and Edge Detection for FSM

The Rise, Edge and Fall outputs will strobe for one cycle when those events are detected. Inputs and outputs are synchronised for use with a Finite State Machine.

Synchronisation and edge detection

entity SynchroniserBit is
    generic
    (
        REG_SIZE: natural := 3  -- Default number of bits in sync register.
    );
    port
    (
        clock: in std_logic;
        reset: in std_logic;
        async_in: in std_logic := '0';
        sync_out: out std_logic := '0';
        rise_out: out std_logic := '0';
        fall_out: out std_logic := '0';
        edge_out: out std_logic := '0'
    );
end;

architecture V1 of SynchroniserBit is
    constant MSB: natural := REG_SIZE - 1;
    signal sync_reg: std_logic_vector(MSB downto 0) := (others => '0');
    alias sync_in: std_logic is sync_reg(MSB);
    signal rise, fall, edge, previous_sync_in: std_logic := '0';
begin
    assert(REG_SIZE >= 2) report "REG_SIZE should be >= 2." severity error;

    process (clock, reset)
    begin
        if reset then
            sync_reg <= (others => '0');
            previous_sync_in <= '0';
            rise_out <= '0';
            fall_out <= '0';
            edge_out <= '0';
            sync_out <= '0';
        elsif rising_edge(clock) then
            sync_reg <= sync_reg(MSB - 1 downto 0) & async_in;
            previous_sync_in <= sync_in;
            rise_out <= rise;
            fall_out <= fall;
            edge_out <= edge;
            sync_out <= sync_in;
        end if;
    end process;

    rise <= not previous_sync_in and sync_in;
    fall <= previous_sync_in and not sync_in;
    edge <= previous_sync_in xor sync_in;
end;
0

Below is a way of creating a signal (flag2) that lasts exactly one clock period from a signal (flag1) that lasts at least one clock period.

enter image description here

1
  • I believe that you need only two state machines as the low is the idle. Apr 24, 2019 at 11:46
0

I don't program in VHDL~ here is what I usually do for the same propose in Verilog:

always @(posedge clk or negedge rst) begin

if(~rst) flgD <= 1'b0;

else flgD <= flg;

end

assign trg = (flg^flgD)&flgD;
0

I am new to verilog and this is the sample code, which I used for triggering. Hope this serves your purpose. You can try same logic in VHDL.

module main(clk,busy,rd);

input clk,busy;    // busy input condition 
output rd;         // trigger signal
reg rd,en;

always @(posedge clk)
begin
  if(busy == 1)
  begin
    rd <= 0;
    en <= 0;
  end

  else
  begin
    if (en == 0 )
    begin
      rd <= 1;
      en <= 1;
    end
    else 
      rd <= 0; 
  end
end

endmodule
0

The below verilog code shall hold the value for the signals for one clock cycle exactly.

module PulseGen #(
    parameter integer BUS_WIDTH = 32
    )
    (
    input [BUS_WIDTH-1:0] i,
    input clk,
    output [BUS_WIDTH-1:0] o
    );

    reg [BUS_WIDTH-1:0] id_1 = 0 ;
    reg [BUS_WIDTH-1:0] id_2 = 0 ;
    always @(posedge clk)begin
     id_1 <= i;
     id_2 <= id_1;
    end
    assign o = (id_1 &  ~id_2);
0

The way to achieve this is to create a debounce circuit. If you need a D flip-flop to change from 0 to 1, only for the first clock, just add an AND gate before its input like the image below: second one is the debounce circuit for the first flip-flip So here you can see a D flip-flop and its debounce circuit.

P.S. Circuit created using this.

0
        --------------------------------------------------------------------------------
--------------------------------------------------------------------------------
--input of minimum 1 clock pulse will give output of wanted length.
--load number 5 to PL input and you will get a 5 clock pulse no matter how long input is.
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.std_logic_unsigned.all ;
entity fifth is
         port (clk , resetN      : in std_logic;
               pdata             : in integer range 0 to 5; --parallel data in. to choose how many clock the out pulse would be.
               din               : in std_logic;
               dout              : out std_logic
               ) ;
end fifth ;
architecture arc_fifth of fifth is
   signal count   : integer range 0 to 5;
   signal pl      : std_logic; --trigger detect output.
   signal sample1 : std_logic;
   signal sample2 : std_logic;
--trigger sync proccess.
begin
process(clk , resetN)
begin
if resetN = '0' then 
   sample1<='0';
   sample2<='0';

   elsif rising_edge(clk) then
   sample1<=din;
   sample2<=sample1;

end if;
end process;
pl <= sample1 and (not sample2); --trigger detect output. activate the counter.

--counter proccess.
process ( clk , resetN )
begin
   if resetN = '0' then
         count <= 0 ;
         elsif rising_edge(clk) then
               if pl='1' then
               count<=pdata;
               else       
                  if count=0 then
                  count<=count;
                  else
                  count<=count-1;
                  end if;
               end if;       
end if ;
   end process ;

dout<='1' when count>0 else '0';--output - get the wanted lenght pulse no matter how long is input

end arc_fifth ;

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.