1

So, I've designed a 2-bit Full Adder, made up of Full Adders and Half Adders. I just started using Vivado's I/O Planning tool for the port to pin assignments, but I've run into a problem. One of my inputs, the initial carry_in for the first bit, I want set to ground since it should always be 0. I know I could just force it to 0 in my VHDL code, but I'm told that's not really the proper way to do it. I tried to set that port to one of the ground pins in the i/o planner but Vivado just tells me I can't place a terminal in an empty pin location. Anyone know how to do this?

As a temporary solution, I just assigned the carry_in port to a button input that I'll leave unpressed so it will always be 0. Everything works as it should when it's programmed onto the board.

*Using Vivado 2015.2 and working on the ZYBO Development Board.

Here's the top level 2-bit Full Adder Code:

library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity full_adder_2bit is
     port(
         a : in STD_LOGIC_VECTOR (1 downto 0);
         b : in STD_LOGIC_VECTOR (1 downto 0);
         carry_in : in STD_LOGIC;
         sum : out STD_LOGIC_VECTOR (1 downto 0);
         carry_out : out STD_LOGIC
         );
end full_adder_2bit;

architecture structural of full_adder_2bit is

component full_adder is
     port(
         a : in STD_LOGIC;
         b : in STD_LOGIC;
         carry_in : in STD_LOGIC;
         sum : out STD_LOGIC;
         carry_out : out STD_LOGIC
         );
end component;

signal cin_fa1 : std_logic;

begin

    fa0: full_adder port map (a => a(0), b => b(0), carry_in => carry_in, sum => sum(0), carry_out => cin_fa1); 

    fa1: full_adder port map (a => a(1), b => b(1), carry_in => cin_fa1, sum => sum(1), carry_out => carry_out);

end structural;

And here's what I've got for constraints from the I/O planner. It all works fine, I just want to set the carry_in to ground instead of an unused button.

set_property IOSTANDARD LVCMOS33 [get_ports {a[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {a[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {b[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {b[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sum[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sum[0]}]
set_property PACKAGE_PIN T16 [get_ports {a[1]}]
set_property PACKAGE_PIN P15 [get_ports {a[0]}]
set_property PACKAGE_PIN W13 [get_ports {b[1]}]
set_property PACKAGE_PIN G15 [get_ports {b[0]}]
set_property PACKAGE_PIN M15 [get_ports {sum[1]}]
set_property PACKAGE_PIN M14 [get_ports {sum[0]}]
set_property PACKAGE_PIN D18 [get_ports carry_out]
set_property IOSTANDARD LVCMOS33 [get_ports carry_out]
set_property PACKAGE_PIN Y16 [get_ports carry_in]
set_property IOSTANDARD LVCMOS33 [get_ports carry_in]

1 Answer 1

3

You could assign it to an unused, unconnected pin and put activate the internal pulldown on it.

However, it's better to tie it to '0' in your VHDL file. The comment "it's not the proper way to do it" is somewhat debatable. A full adder entity should really have the carry_in input. However, a FPGA toplevel should really only have the pins it requires.

The better way to do it would be to have a file (let's say, toplevel.vhd) that instantiates a full_adder_2bit tying the carry-in to '0'. That way, full_adder_2bit keeps it useful carry_in bit and your toplevel is rid of unecessary pin.

3
  • Thanks for the advice. I like that idea of having a seperate toplevel vhdl file that instantiates a 2bit full adder, that way I don't interfere with the carry_in in the 2bit full adder. I'll give that a try.
    – sfagin
    Sep 1, 2015 at 5:24
  • Is is good to enable an additional pulldown in the FPGA? If the user uses Y16 on the ZYBO, there is already a 10k pulldown and a 10k series resistor on net BTN3. ZYBO schematic
    – Paebbels
    Sep 1, 2015 at 8:05
  • @Paebbels Putting an internal pulldown on a pin that has an external pullup/pulldown is harmless. It is equivalent to teo resistors in parallel/series and would only cause more current flow than desired, and potentially unstable input reading if the tension is not above/below threshold. I said to use an unconnected pin, which in my mind was a floating pin... Sep 1, 2015 at 14:56

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.