2

I have the following code:

entity test is
end entity;

architecture rtl of test is
  type T_TUPLE is record
    A  : NATURAL;
    B  : NATURAL;
  end record;
  type T_VECTOR is array (NATURAL range <>) of T_TUPLE;

  constant LIST : T_VECTOR := ((8, 32), (8, 20), (8, 36));
begin
  genTests : for i in LIST'range generate
    constant LOCAL_A : NATURAL := LIST(i).A;
    constant LOCAL_B : NATURAL := LIST(i).B;
  begin
    -- my tests
  end generate;
end architecture;

I get the following error message for my generate statement:

... range must be a static discrete range

When I change my constant to a constrained variant it works...

  constant LIST : T_VECTOR(0 to 2) := ((8, 32), (8, 20), (8, 36));

Why is the 2. example more static?

Edit:
Link to the GHDL Issue discussion at GitHub.

1
  • 1
    Worth asking Tristan, I think.
    – user1818839
    Jan 2, 2016 at 18:22

1 Answer 1

1

Curious, does it still give an error if you do the following:

constant initial_LIST : T_VECTOR := ((8, 32), (8, 20), (8, 36));
constant LIST : T_VECTOR(initial_LIST'range) := initial_LIST ;

I have played a similar game to initialize signals to match the size of the constant.

4
  • Yes, the error is the same: at the generate statement but no problem at the second constant :).
    – Paebbels
    Jan 2, 2016 at 18:41
  • This isn't strictly an answer despite the upvote. Also note that by adding an empty entity declaration and using the (llvm) version of ghdl-0.33 the example analyzes, elaborates and simulates (while doing nothing interesting) error free (an MCVe is useful). That suggests this is an implementation error, noting Paebbels has built his own Windows mcode version using the post release 0.33 development tree. It should likely be reported as a bug. Whether it occurs in a ghdl-0.33 Windows release might be of interest as well.
    – user1155120
    Jan 2, 2016 at 18:49
  • @user1155120 it is what it is intended to be, a work around to a potential tool bug. OTOH, if LIST were a signal, it is a language work around.
    – Jim Lewis
    Jan 2, 2016 at 19:14
  • I have posted an issue at the GHDL GitHub repo pages. According to Tristan, GHDL is following the rules. @user1155120 added that GHDL compiles in VHDL-93 mode but not in VHDL-2008 mode. Has this rule changed since '93? You are more into the LRM than me ... Should we move this discussion to the VHDL mailing list? Does the LRM need a rework on that rule?
    – Paebbels
    Jan 3, 2016 at 23:20

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.