Questions tagged [fpga]

A Field-programmable Gate Array (FPGA) is a chip that is configured by the customer after manufacturing—hence "field-programmable".

fpga
Filter by
Sorted by
Tagged with
0 votes
0 answers
4 views

Where do I find the Xilinx xc7z007sclg400-1 master constaint file?

I am trying to find the Master Xilinx design constraint file for the xc7z007sclg400-1. Does anyone know where I can find that?
RGB Engineer's user avatar
0 votes
0 answers
25 views

Failed to use memory bits in fpga

this is a code for a 2 port data memory , when I compile it on quartus number of memory bits is zero and implement it all as logic elements and doesn't infare a ram how to solve that? module ...
Giannis's user avatar
  • 11
-1 votes
0 answers
31 views

Organizing concurrent control in Verilog [closed]

I am trying to write control interface for my simple FPGA SDR. I currently implemented it with two FSM: "global" FSM - for rx and tx enable/disable control "sequence tx" FSM - for ...
KestMa's user avatar
  • 1
0 votes
0 answers
21 views

How to increase baudrate on Device Manager Windows?

When I open device manager>com port> port settings, I see max available baudrate option is 921_600 (not 115k). How can i increase this value? Because i know my fpga board(basys3) supports much ...
desepe's user avatar
  • 1
-1 votes
0 answers
36 views

Gate-Level Sim: Hold time violation between testbench and first registers?

I have a testbench that acts as a slave to an AXI-Stream master as given below. It is written without classes to work with both Icarus Verilog and Verilator. This works well in randomized logic ...
Abarajithan's user avatar
-4 votes
0 answers
22 views

Making a fpga-based au accelerator [closed]

I have a new project, which is to recreate an ai accelerator based on a FPGA. I found examples of implementation of such things but they support fixed point quantized types only. The difference is ...
jui jui's user avatar
0 votes
1 answer
34 views

Can SYSCLK be included in FPGA Xilinx vivado testbenches?

I'm doing a fairly simple design. I have the VC707 FPGA Evaluation Board and from the SYSCLK(P/N) I'm generating a single-ended clock for the rest of the board. // Differential to single ended buffer ...
johnny_1010's user avatar
0 votes
1 answer
40 views

Are FPGA GPIOs capable enough to read bits at a high rate (26Mbps)? If not, what is a possible way? [closed]

I had two systems, let's say, system A and system B, so system A generates bits at the rate of 26Mbps from a physical pin, I need to capture/read all the data with system B which is ZC706 FPGA/any ...
penchalanarasaiah kuncham's user avatar
-1 votes
0 answers
17 views

Install SoC EDS and create .o file using Cygwin

I am a newbie. I have installed SoC EDS following the instructions below SoCEDS and ARM Development Studio and encountered the following Error: Has anyone experienced this error and managed to fix it? ...
Đỗ Hữu Dương's user avatar
0 votes
1 answer
26 views

adding noise signal to differencial sensing touch screen panel modeled using verilog

I am modeling touch screen panel and I want to add display noise to the model in order to see the effect on the dsp algorithm I am developing. how to present noise shown in the timing diagram in ...
tagwa mukhtar's user avatar
0 votes
0 answers
32 views

u-boot stuck at starting kernel for zybo z7

I define the zynq.cc in l4/pkg/bootstrap/server/src/platform as #elif PLATFORM_TYPE_zynq_zybo_z7 switch (PLATFORM_UART_NR) { default: case 1: kuart.base_address = 0xe0001000; ...
Popo's user avatar
  • 1
0 votes
0 answers
35 views

Optimizing Gaussian Elimination using High Level Synthesis

I'm trying to implement a linear equation AX=B solver in GF(256) using gaussian elimination on Vitis HLS and I'm looking to optimize my code/design for better latency and performance.I'm new to HLS so ...
El Mehdi Belhaddad's user avatar
0 votes
0 answers
17 views

weird error happened when ran fpga program

My device code has over 100 kernels based on OneAPI and Intel stratix 10. Fisrt I built device code with -fsycl -fintelfpga -fsycl-link=image -Xshardware -Xstarget=/home/xxxx and get dev.a. Then built ...
Ziyi Yu's user avatar
0 votes
2 answers
47 views

not showing the proper output

These modules and testbench in SystemVerilog are used to multiply a two-bit number by 3 and give a four-bit result; however, when i simulate it, it shows X as an output. i checked my modules but ...
parinaz jafarypour's user avatar
-1 votes
0 answers
30 views

The uart on the FPGA is working half-heartedly. But it works correctly in simulation. Why is this so?

I'm trying the dhrystone test on my risc-v processor in the FPGA. In the simulation, the fifo in the uart_tx module is working correctly. at first i was thinking maybe the tx wasn't being sent to the ...
Kamer Kırali's user avatar
-1 votes
0 answers
45 views

Can't use model sim to view internal signal in FPGA [closed]

I have a problem, I can't observe the internal signal in ModelSim, My result always shows no data. This is my VHDL code. From this picture, X is my internal signal library ieee; use ieee....
Suttaphap Liam's user avatar
0 votes
0 answers
20 views

how I can include a second Compact RIO chassis in my labview project

I'm currently using the NI-9047 to build a capture system, but since the system update requires some IO ports to expand my project, I need to add a cRIO NI-9054. When I added the 9054 to the project, ...
user23541278's user avatar
-2 votes
0 answers
54 views

Why is QUdpSocket readyRead not being emitted?

This is for a project where a Qt application will communicate with an FPGA that has an IP stack set up. udpReceiver.h: #ifndef UDPRECEIVER_H #define UDPRECEIVER_H #include "mainwindow.h" #...
bowlcutty's user avatar
0 votes
0 answers
19 views

finding PCI domain number for extended BDF

I am trying to use pyPCIE to to access data in a RAM module on a FPGA board directly through PCIe. The pyPCIE package uses extended BDF notation xxxx:06:00.1 to determine which device am I connecting ...
miner_kai's user avatar
-1 votes
1 answer
109 views

Determine if a module in SystemVerilog is synthesizable

I am implementing a max-pooling module on FPGA using SystemVerilog. The length of each word is 64 bits, a grid of 28 by 28 words is input data (which is an image 28x28 pixels). The filter size is 2 by ...
Becker's user avatar
  • 159
1 vote
1 answer
72 views

Verilog: mapping an memory array

I'm trying to make a memory in system verilog and it can be synthesised only when I want to write to the memory directly. Here is a code that DOES work: module top ( input logic clk_i, ...
Filip's user avatar
  • 83
0 votes
2 answers
28 views

Is it possible to restrict UDP packets being sent to an FPGA to a single host?

I'm trying to send data from a Qt application on a Windows 11 PC to an Arty A7-100T equipped with the Xilinx TEMAC IP Core. Currently the Qt app just consists of a start/stop toggle button that, when ...
bowlcutty's user avatar
0 votes
2 answers
88 views

How to initialize coefficients of a large digital filter in Verilog?

I am trying to make a synthesizable filter in verilog. I have the fixed-point filter coefficients in a text file. I am looking for an elegant and scalable way to pass on these filter coefficients. The ...
Kraken's user avatar
  • 201
0 votes
0 answers
19 views

No Audio Input using the Intel FPGA DE1-SOC board and the WM8731 Audio Codec

I am working on writing verilog code that initializes and creates a loop back of a mic auido to an output. I am using the Intel FPGA DE1-SOC board which has the WM8731 as the audio codec. I have ...
Harmandeep Dubb's user avatar
-1 votes
1 answer
53 views

Should my PC recognize my Arty A7-100T FPGA?

I'm developing a data acquisition program that will send data collected by an FPGA back to a PC over ethernet for visualization. When the Arty is powered and plugged into the PC via ethernet though, ...
bowlcutty's user avatar
0 votes
1 answer
57 views

VHDL: using rising_edge with normal signals

If we generate a "clock_enable" signal just as suggested in this accepted answer: Is the use of rising_edge on non-clock signal bad practice? Are there alternatives? Like: signal mySignal_d :...
michalmonday's user avatar
1 vote
1 answer
45 views

How many additions operation can be performed instead of single multiplication in FPGA?

How many addition operations can be performed instead of a single multiplication on FPGA? In terms of used resources - as an example - energy and logic area cost. I would like to know it for multiple ...
Yevhenii's user avatar
0 votes
1 answer
85 views

FPGA Fancy flowing light, digital tube display?

Here is the Question: The input clock is the clock generated by the onboard 50MHz crystal oscillator, and after passing through a frequency divider, a 1Hz clock is obtained. The flowing light is ...
xcsoft's user avatar
  • 45
-2 votes
0 answers
28 views

Saving 7-segment data to a variable so it can be recalled in Running Total state

I am trying to design a finite-state vending machine on the DE1-SoC board using Verilog that uses 8 switches, 4 buttons and 4 7-segment displays. I have successfully added the main states but I'm ...
LeonidasEng's user avatar
1 vote
1 answer
27 views

What is "strictly control signal" and Why is its input unconstrained?

This is from book "STA for nanometer design" This section describes the constraints for the input paths. The important point to note here is that STA cannot check any timing on a path that ...
chen zhang's user avatar
0 votes
0 answers
12 views

Feeding a file as input to Xilinx ML50x board

I am trying to feed a file to my ML505 board as an input and do some processing on it. I am using Xilinx ISE tool to generate the bit file and I use xmd tool to generate the ACE file to load onto the ...
midnight_rambler's user avatar
-1 votes
0 answers
22 views

Interconnection of circuits in OpenFPGA

It is known that we use syntax to Interconnect Tiles of FPGA in XML. Similarly can we use to Interconnect sub-circuits inside a CLB? I am expecting that we can either mention the port name of ...
Gurusatwik Bhatta's user avatar
-1 votes
0 answers
45 views

Glitch in asynchronous reset path of flip-flop

This article discusses Clock Gating and the associated glitch on the clock which can cause a metastable flip-flop state. Can a glitch in asynchronous reset path cause a metastable state, if the flip-...
den251's user avatar
  • 9
2 votes
2 answers
69 views

How do I represent large delays in Verilog?

I want to use a delay of 5s in my Verilog testbench. However, the time scaling is 1ns/1ps. I do not want to change this scaling since it effects my clock. But, how can I write a delay of 5s which is ...
surya krish's user avatar
-3 votes
1 answer
66 views

Is it possible to fill an array with a single operation?

In C, you can do this: int a[5] = {1, 2, 3, 4, 5}; On VHDL, I need to do about the same thing in a function. Now it looks like this: type rom_type is array (0 to 1) of std_logic_vector(1 downto 0); ...
Vladimir Korshunov's user avatar
0 votes
1 answer
95 views

Verilog Perceptron pipelined module output is one clock behind compared to given testbench

I designed a single layer perceptron for a lab I need to finish. It is working perfectly as expected, and I am receiving the expected output compared to a testbench given to us. The only issue is that ...
redpocket's user avatar
0 votes
1 answer
40 views

oss-cad-suite not installed error when running "apio verify"

I'm currently running Windows 11 with Python 3.7.9 and trying to get apio version 0.8.3 to work. When I try to run apio verify in a valid folder with an apio.ini file (from apio examples -d icestick\...
WheatleyOS's user avatar
0 votes
0 answers
29 views

How to split a large constant block to smaller sub blocks?

For a research project I want to write into a block in Simulink from Matlab. The block is filled with a bitmap which should contain 2 million 32 bit values. This should then be splitted into 32k of 32 ...
Florian's user avatar
  • 23
-2 votes
1 answer
83 views

Why does running Synthesis take the same amount of time every time with Quartus, Vivado and Libero?

With all FPGA tools I have used so far: Intel Quartus Prime, Xilinx Vivado, Microsemi Libero SoC, it always takes the same amount of time whenever I run synthesis. What I would expect is that the ...
gyuunyuu's user avatar
  • 616
0 votes
0 answers
55 views

RISC-V softcore GPIO (memory mapped) sends the first value and fails later

I have a RISC-V softcore based SoC (PICO-SoC) and I have implemented memory (32'h 00000090) mapped output port to send a set of values. The set up is running on PYNQ FPGA board. The softcore provides ...
karthik's user avatar
  • 29
0 votes
0 answers
28 views

Yosys: how to convert D-latches to FFs and LUTs?

I want to synthesis a design that has latches into a set of primitives (only FFs and LUTs are supported) I am expecting a switch similar to dff_legalize. If not possible should I change the RTL? I ...
AlfaRossati's user avatar
0 votes
1 answer
106 views

How to remove/unload a device tree overlay to program FPGA multiple times?

I can program the FPGA part of an Altera/Intel Cyclone V SoC FPGA with a firmware, from Linux on the HPS (ARM core in the SoC FPGA), using Buildroot. I'm using a device tree overlay to write a raw ...
dpeng's user avatar
  • 437
-1 votes
3 answers
160 views

Blocking the clock signal

Let's say I need to find out that the BLOCK signal came earlier than the 5 clk signal. These signals are asynchronous to each other, so I can't use the classic construction as shown below. always(...
den251's user avatar
  • 9
0 votes
1 answer
57 views

Error (10453): VHDL error at <> right bound (0) of slice must belong to range (54 downto 5) of corresponding object

I am making an Avalon memory mapped component. I wish to send the data of the registers directly to another VHDL entity. But doing this gives me the following error. The error is when I try to add the ...
cyborgdennett's user avatar
0 votes
1 answer
94 views

How Can I Use Components in FPGA?

I want to use Port Maps inside of the if cases (in and gate case), but I could not use it. What is the problem? I am really new in FPGA coding. Can you help me with the code side? When I use it ...
ElectronicsFuns's user avatar
0 votes
1 answer
43 views

how to automatically remove the redundant .coe file in vivado by automating tcl script?

i have been assigned a project where there is a redundant /missing .coe file under coefficients file directory which no longer required in the given project of vivado. project will open in vivado ...
superb ranjeet's user avatar
-1 votes
1 answer
85 views

Type conversion issue with 'std_ulogic' - seeking guidance

I'm working on a VHDL project involving button presses, and I've encountered an issue that I can't seem to crack. In the following line of my code: button_counts(i) <= std_logic_vector(unsigned(...
michael seaton's user avatar
0 votes
1 answer
66 views

FPGA/VHDL Trying to impelement a shared memory between my Basys 3 FPGA Board and a MicroBlaze Softprocessor

I am very beginner at FPGA technologies and VHDL coding. I have a Basys 3 FPGA development board which has a Artix-7 XC7A35T-1CPG236C FPGA on it. What im trying to achieve is to create a MicroBlaze ...
erincyldz's user avatar
2 votes
1 answer
123 views

Verilog state machine state/next_state style

I'm a Verilog beginner, and I'm trying to learn about the best ways to implement FSMs on the common FPGA platforms. I have seen a number of papers (e.g., this one) that encourage a state/next_state ...
fsctl's user avatar
  • 321
6 votes
1 answer
192 views

Is there a way to have variable sized int and unsigned integer types in Rust?

In Rust, standard integer type are sized 8, 16, 32, 64, 128 bits. But is it possible to manipulate integer types with a non-standard size like u24, i7, ... ? These non-standard sizes can be useful ...
FabienM's user avatar
  • 3,666

1
2 3 4 5
57