Questions tagged [xilinx]

Xilinx is a major brand of Field Programmable Gate Arrays (FPGA) and CPLDs (Complex Programmable Logic Devices)

xilinx
Filter by
Sorted by
Tagged with
1 vote
1 answer
44 views

problems that Connected to multiple drivers or bad synchronous description

I just want to make my point (SQ_X2,SQ_Y2) move in 2D plane(in TFT-LCD). following is part of my code. If I Push PSW(Push button switch), and point moves 5 in x or y. and there is problem, when I ...
Seung Jin Lee's user avatar
0 votes
1 answer
1k views

mtd-utils error during yocto build

I'm trying to build Petalinux with meta-swupdate from https://github.com/Xilinx/yocto-manifests and https://github.com/sbabic/meta-swupdate. I followed the directions for Peatlinux manifest. The ...
flashburn's user avatar
  • 4,368
0 votes
0 answers
1k views

Storing a number bigger than the integer limit in vhdl

Let me explain my problem with an example. I have two variables a=74686 and b=20930625. I want to store c= (a x 2^16) + b. This exceeds the integer limit(32bits) in vhdl. It is okay for ...
Veena's user avatar
  • 37
-1 votes
1 answer
607 views

Vivado 2015.1 VHDL Input/ Output Violation

I am getting through the tutorial of Nexys 4 DDR and I am implementing a simple MUX library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VComponents.all; -- Uncomment the ...
ayo's user avatar
  • 57
0 votes
1 answer
299 views

vhdl function is not being called

I have written a vhdl code something like this entity myentity is port( number : in integer range 0 to 15; result : out integer); function myfunction(num: integer range 0 to 15) return integer is ...
Veena's user avatar
  • 37
1 vote
1 answer
3k views

How to implement tcp-poll function in lwip stack

I am programming the fpga board ZYNQ XC7Z010-1CLG400C. I am measuring data continuously from an ADC, saving the data in the buffer (MesStrBuf) then send this buffer over ethernet using lwip satck. ...
Karim's user avatar
  • 21
0 votes
0 answers
2k views

to_string() alternative for VHDL 2002

I need a function that will convert a signal (number) to string, in order to be able to display it on LCD. Unfortunately, I have to use Xilinx ISE 14.7 because Spartan 6 is not supported in Vivado, ...
Marko Gulin's user avatar
0 votes
1 answer
1k views

Maximum path delay in a simple combinational circuit

I want to calculate the maximum path delay for a combinational circuit in Xilinx ISE. I'm familiar with the sequential circuits and I know how to work with timing constraints and the timing reports ...
Pipeline's user avatar
1 vote
1 answer
240 views

Same design in VHDL and Verilog. But different speed and resource usages?

I have two codes, one in Verilog and another in vhdl, which counts the number of one's in a 16 bit binary number. Both does the same thing, but after synthesising using Xilinx ISE, I get different ...
vipin's user avatar
  • 1,640
3 votes
1 answer
3k views

DISTRO 'poky' not found. Please set a valid DISTRO in your local.conf

New to Yocto. Trying to build an image for Xilinx Zynq. Followed instructions on https://github.com/Xilinx/meta-petalinux. Don't need everything there, so removed some of the layers. When I execute ...
flashburn's user avatar
  • 4,368
1 vote
0 answers
1k views

Program LED from Linux Zynq Embedded

I am trying to blink an LED on a Xilinx zc706 board using Linux. https://www.xilinx.com/products/boards-and-kits/ek-z7-zc706-g.html I have been able to toggle a GPIO in Linux using methods explained ...
John Frye's user avatar
  • 255
2 votes
1 answer
4k views

Emulate I2C on QEMU Aarch64

I have read this post How to emulate an i2c device on QEMU x86? about a solution for configuring an I2C device for QEMU emulating x86_64. I am trying to do the same thing for ARM. Currently I have a ...
John Frye's user avatar
  • 255
0 votes
0 answers
433 views

Choose which architecture to synthesize in Xilinx XST

I'm learning VHDL at university and we use Xilinx. The professor told us to write a simple 8:1 multiplexer with multiple architecture implementations: structural (using hierarchical design with two 4:...
matteof93's user avatar
0 votes
1 answer
2k views

Assigning Records VHDL

Good time to everyone. Resently I try to improve code in new project and found some interesting decoder. It has 2 processes: in first all data formed and in second all bus triggered in out registers ...
Nikolay Josh Konovalenko's user avatar
1 vote
1 answer
4k views

macb ff0e0000.ethernet eth0: Could not attach to PHY

Booting a Linux-based OS upon a Zynq Ultrascale+ (board ZCU102 rev 1) and configuring the kernel as described in here, having a device tree automatically generated by Vivado SDK 2017.2 and shown ...
Leos313's user avatar
  • 5,422
-1 votes
1 answer
574 views

Custom xilinx zynq board (MYIR) kernel starting hung

I have a custom zynq board(MYC-C7Z010/20 CPU Module) and compile original u-boot+Linux 3.15.0 for it succesfully and it works fine. But I try to update my kernel with this git repository https://...
Mohammad Farahani's user avatar
0 votes
1 answer
143 views

is there any way to Simulate DCM in ISIM?

I have a DCM with different clock outputs which is working fine in real FPGA implementation, but could not see any input while simulate this DCM in Xilinx ISIM. Can we simulate DCM in ISIM testbench? ...
Sajjad's user avatar
  • 121
1 vote
2 answers
526 views

Booting microzed 7010 board with NFS when initramfs is enabled in kernel

I am using petalinux and vivado 2016.04 and microzed 7010 board for my project. I have followed the steps =>I have set board in QSPI mode by jumpers. I have TFTPed the imaged.ub on board (run netboot)...
pritam's user avatar
  • 75
4 votes
2 answers
5k views

Simple SR Latch Simulation in VHDL(with Xilinx) doesn't oscillate

I've learned that SR-Latch does oscillate when S and R are both '0' after they were just '1' in following circuit VHDL Code. here is VHDL of SRLATCH library IEEE; use IEEE.STD_LOGIC_1164.ALL; ...
Seung Jin Lee's user avatar
2 votes
2 answers
669 views

IRQCHIP_DECLARE: init function is not being run

I am trying to use the Xilinx interrupt controller driver in an embedded ARM FPGA system I am developing. (https://github.com/torvalds/linux/blob/master/drivers/irqchip/irq-xilinx-intc.c) At the ...
jgoeders's user avatar
  • 1,905
3 votes
1 answer
2k views

combine ports to bram interface

I want to write an IP to store/read data using BRAM. What I have so far is using the (C)DMA to read memory mapped data out of the RAM and get an AXIS. Then I created a new source file in VHDL to ...
akira hinoshiro's user avatar
3 votes
0 answers
2k views

Is it possible to open a Vivado project via Tcl and export it via write_project_tcl as Tcl?

Xilinx Vivado can export the content of a *.xpr file (Xilinx Vivado project file) as Tcl. Therefore a Tcl command exists in GUI mode (project mode): write_project_tcl {C:/.../project/KC705.tcl} From ...
Paebbels's user avatar
  • 15.9k
0 votes
0 answers
1k views

Configure: error: C compiler cannot create executables when i used arm-xilinx-linux-gnueabi-gcc

I am trying to cross compile my netperf-2.7.0 by downloading it and in the source directory of netperf-2.7.0 , configuring configure to compile for my arm cortex A9 using the following command ./...
jenny's user avatar
  • 520
0 votes
1 answer
255 views

simulation errors in implementing xilinx fifo core

I have generated a core IP from Xilinx core generator for FIFO. I get some errors while simulating the design. In stimulus my clock switches on every #1 and write flag is also set at #1 followed by ...
Mr. Gandalf's user avatar
2 votes
1 answer
2k views

Parallel CRC CCITT 16 Kermit in VHDL

I am trying to implement CCITT 16 true type (Kermit) in VHDL language. Here are the parameters: width=16 poly=0x1021 init=0x0000 refin=true refout=true xorout=0x0000 check=0x2189 residue=0x0000 name=...
Gaurav Bhatia's user avatar
1 vote
1 answer
2k views

Output an internal fabric clock to LVDS (xilinx zynq device)

I am trying to push my fabric clock to an output LVDS pair on a ZedBoard. I am using the solution provided here by scary_jeff. FCLK_CLK1 is using a DDR PLL set to 150 MHz. I created a testbench for ...
gutelfuldead's user avatar
1 vote
1 answer
345 views

Xilinx fpga -: PYNQ-Z1

I am trying to connect to the PYNQ-Z1. I have followed each and every step precisely as mentioned in the docs but still when I try to access the browser by 192.168.2.99:9090, it tells me that the page ...
Prateek's user avatar
  • 73
0 votes
1 answer
2k views

Shift Register or FIFO in block RAM (Xilinx)

I have to buffer some data in a quite big buffer. It is not a usual shift register or a FIFO, because I will have to be able to read data also from the middle of the buffer. I managed to implement ...
ARude's user avatar
  • 65
2 votes
2 answers
41k views

how to solve 4 bit full adder verilog

I am supposed to create 4 bit full adder verilog code in vivado.But when I try to test in the simulation.It give me z and x output.Which part of code I have to change to get an output in simulation ...
Marcus's user avatar
  • 23
2 votes
2 answers
2k views

More resource efficient way to get the maximum of the last 512 values

I have written some VHDL code that stores the last 512 values of an input signal and calculates the largest of the stored values. This code works but uses a lot of the LUT resources of my FPGA. The ...
SomeRandomPhysicist's user avatar
1 vote
1 answer
2k views

Latency and Initiation interval in HLS

I have a design in SDAccel that shows the latency as 33000 cycles and initiation interval of 8. What does this mean? Does it mean that the output is ready after 33000 cycles? I checked the actual ...
UttamKumar's user avatar
2 votes
1 answer
763 views

This design contains one or more registers/latches that are directly incompatible with the Spartan6 architecture

I'm new in this world. Actually, I'm learning VHDL. I've written the below code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Problems is port( S : in std_logic; D : in ...
Joseph Pena's user avatar
1 vote
1 answer
7k views

VHDL 3-bit sequence counter with T-Flip Flops

I am new to VHDL and I can't see a solution to my problem. I want to find a VHDL code for my 3-bit sequence counter with T Flip Flop's which goes: ..,0,4,5,7,6,2,3,1,0,... I made a truth table and ...
Drejc's user avatar
  • 501
0 votes
5 answers
2k views

Verilog or Vivado HLS or Vivado SDSoC

I want to convert my lane detection code written by C++ (OpenCV) to FPGA. Vivado HLS or Vivado SDSoC can help to embed the C ++ code into the FPGA. Or I can rewrite the lane detection code with ...
ykpgrr's user avatar
  • 73
1 vote
0 answers
356 views

Issues using custom HLS block under Linux, despite a validated bare-metal design

I have written an RSA encryption block in HLS (Using Vivado 2017.2), and am trying to exercise it under Linux on the zedboard (Zynq 7020). I have verified that the hardware works, and have a fully-...
asmvolatile's user avatar
1 vote
1 answer
1k views

Suspend operation of lwIP Raw API

I am working on a project using a Zynq (Picozed devboard). The application is run bare-metal, uses lwIP TCP in RAW mode and basically behaves like this: Receive a batch of data via Ethernet, which is ...
Christoph O.'s user avatar
-2 votes
1 answer
70 views

Holiday project goals for undergrads with an FPGA?

It's a student project for vacation research, under-grad, not sure how many of us, there'll probably be 4-6, we're motivated. My original proposal was to get an FPGA (on an Artix-7 or Z-board) to run ...
Stephen Hannam's user avatar
1 vote
1 answer
2k views

VHDL Generate Array Of STD_LOGIC_VECTORS with Reducing Length

I am trying to create an array of std_logic_vectors with reducing lengths. I have tried making an array with a generic std_logic_vector and then using a generate statement to make the vectors. ...
Goodwin's user avatar
  • 183
1 vote
1 answer
1k views

running and testing a UVC gadget

I'm trying to test a UVC I compiled for a Xilinx board. I'm following these directions. On line 720 it says device: run the gadget. What is meant by run the gadget Is it modprobe g_webcam? Any help ...
flashburn's user avatar
  • 4,368
3 votes
1 answer
1k views

VHDL Warning Xst:1293 FF/Latch has a constant value of 0

None of the answer on the internet worked for me as I am a beginner in VHDL. I am making a password interface in vhdl with pushbuttons and LEDs. My program simulates correctly as expected. ...
Pankaj Kumar's user avatar
1 vote
1 answer
618 views

see analog output in xilinx instead of digital output

I am using code from this website code: entity triangular is port (clk : in std_logic; wave_out : out std_logic_vector(7 downto 0); reset :in std_logic ); end triangular; architecture ...
user avatar
0 votes
0 answers
189 views

Occasional lockup during boot on ARM (zynq)

I have a ARM (zynq) board which was developed by a third party on which I am trying to run xilinx's vanilla Linux, compiled using build-root. I seem to have everything working just fine, however ...
Jay's user avatar
  • 13
1 vote
1 answer
184 views

LFSR doesn't generate random values during simulation

I am new to VHDL, but have some idea. I made this LFSR but don't know why it is stuck between the initial seed value and the other XOR value. I am working with Altera Quartus 16 Lite and ISim. library ...
hrsd's user avatar
  • 21
0 votes
0 answers
135 views

Synthetizable delay in VHDL FSM state

i am currently in the midst of writing a VHDL description of the unit(s) controlling a Sitronix ST7066U and LCD Module 1602A-1 for Xilinx Virtex-7, using Vivado Suite as my environment. the Message ...
Michele Marconi's user avatar
0 votes
1 answer
1k views

How to give a delay of 1 clock cycle in a combinational block verilog

I have a combinational code that I have, In that code I would like to turn off a signal after 1 clock cycle, i.e. initially it is 1, and after one clock cycle it should be 0. Is there any way I can do ...
tester124's user avatar
2 votes
1 answer
2k views

How to send data over AXI Stream using Xiling VIP IP

I'm trying to use AXI Stream Verification IP but I cannot figure out how to use it in slave mode. How can I get the data out of agent: import axi4stream_vip_v1_0_1_pkg::*; import axis_vip_master_pkg::...
Maja Piechotka's user avatar
1 vote
0 answers
944 views

Xilinx EOF Error

I am trying to synthesize an IP Core from OpenCores.Org website written in verilog language which is AmberCPU. This project is just an implementation of a version of ARM Instruction Set Architecture. ...
WhiteFlowers's user avatar
2 votes
1 answer
339 views

Bi-directional communication using Asynchronous FIFO?

I am trying to interface a module (let's call it main_module) with a Dual Port Block RAM memory generated by the Xilinx CORE Generator. Both the modules transmit and receive data with each other and ...
Candy's user avatar
  • 135
1 vote
0 answers
54 views

Interfacing a slower clock module with a faster dual port block RAM?

I am trying to interface a module (let's call it main_module) with a dual port block RAM (implemented through the logic core available in Xilinx-ISE). The two modules run at different clocks ( ...
Candy's user avatar
  • 135
1 vote
0 answers
595 views

PS-PL GPIO Interrupt using FreeRTOS

I am working on CORTEX-A9 FreeRTOS port using ZEDBoard. I want to take PS-GPIO interrupt. But I am facing following issues here.. When an interrupt occur, GPIO handler calls two times... When I set ...
User9211's user avatar

1
10 11
12
13 14
29