Morgan's user avatar
Morgan's user avatar
Morgan's user avatar
Morgan
  • Member for 14 years, 11 months
  • Last seen more than a week ago
3 votes

Using screen on Synology (DSM6): "Cannot find termcap entry for 'xterm-256color"

3 votes
Accepted

How to represent assign logic array in Verilog generate block?

3 votes

Low power design for adders

3 votes
Accepted

what's the difference in position declaring variable in xilinx?

3 votes
Accepted

Nonblocking simultaneous assignments to wires and registers in Verilog

3 votes
Accepted

Block is unconnected and will be trimmed Verilog

3 votes
Accepted

SVA (SystemVerilog Assertions) : Difference between $assertoff and $assertkill?

3 votes
Accepted

how to go about designing a 16 bit carry look ahead adder in verilog

3 votes

Verilog HDL Negate Monitor Variable

3 votes
Accepted

verilog output is delay by 1 clock cycle

3 votes
Accepted

verilog to FSM convert

3 votes

verilog : defining parameter values in case statement?

3 votes
Accepted

Incisive Formal Verifier Installation 64 bit

3 votes
Accepted

In Verilog, how to "hold" the value of the rest of a register while modifying a single bit?

3 votes

Verilog equivalent of "wait until ... for ..."?

3 votes

Using if-else and foor loop inside an always block

3 votes
Accepted

Wrong output value in 8-bit ALU

3 votes

What does #1 mean in verilog?

3 votes
Accepted

Booth's algorithm Verilog synthesizable

3 votes
Accepted

NgdBuild:605 - logical root block 'test_bench' with type 'test_bench' is unexpanded. Symbol 'test_bench' is not supported in target 'artix7'

3 votes
Accepted

The states in this FSM machine are changing too quickly due to an issue with the clock updating the present state

3 votes
Accepted

removing verilog inferred latches (incrementing a register)

3 votes

Verilog Error - Elaboration time constant

3 votes
Accepted

Verilog range must be bounded by constant expression

3 votes
Accepted

Confused with how two or more always block work in verilog module?

3 votes
Accepted

Instantiating a value in or out of an always block

3 votes

Multiplication, multiply register verilog

3 votes

Verilog - generate weighted random numbers

3 votes

Reset If-Else statement produces improper results

3 votes
Accepted

Verilog: steps to pipelining a simple processor

1
3 4
5
6 7
17