4

There is a N-bit register in my RTL design, and I want to check if the testbench is covering the following particular case:

000..0 -> 000..001 -> 000....011 -> 00...111 -> ...... -> 111....111

I'm not sure how to write a covergroup for the above. I can see how transition coverage can be useful. As an example:

covergroup cg; 
cover_point_y : coverpoint y { 
bins tran_34 = (3=>4); 
bins tran_56 = (5=>6); 
} 

However in my case, my register is parameterized (N bits: reg[(N-1):0]), and it's too big to write the full sequence manually. Can I write a generate or for loop to cover the above sequence?

3 Answers 3

6

It's not really clear to me which transitions you want to cover. I guess you want to cover that each value changed to every other value. What you need to keep in mind is that you can write multiple values on either side of the => operator. For example:

cover_point_y : coverpoint y { 
  bins transitions = ( 0, 1 => 0, 1 );
}

This will create bins for 0 => 0, 0 => 1, 1 => 0, 1 => 1. If I interpreted the BNF properly, according to the LRM, the values you put on either side of the => operator are of type covergroup_value_range, meaning that any value range syntax for coverpoints should be accepted. This means the following should also be legal:

cover_point_y : coverpoint y { 
  bins transitions = ( [0 : 2^N - 1] => [0 : 2^N - 1] );
}

This should create transition bins from every value to every other value. You're at the mercy of tool support here. This doesn't work in my simulator, for example, but it might work in others.

If you want to exclude certain transitions (for example, 0 => 0, 1 => 1, etc.) this won't help you anyway, because the syntax to specify transition bins just isn't expressive enough...

Don't fret, there are ways to do it. Going back to basics, transition coverage is basically a form of cross coverage between the current value and the past value. Cross coverage allows much more diverse ways of specifying bins. You need to track the previous value of the variable you're covering. The thing you need to be careful of is that you should only start collecting coverage once you've sampled at least 2 values (so that you have a previous). With transition coverage, the tool would do this for you under the hood.

The best way I can think of doing it is to wrap the covergroup inside a class:

class cg_wrapper #(int unsigned WIDTH = 3);
  covergroup cg with function sample(bit [WIDTH-1 : 0] val,
    bit [WIDTH-1 : 0] prev
  );
    coverpoint val;
    coverpoint prev;

    cross prev, val;
  endgroup

  function new();
    cg = new();
  endfunction

  // ...
endclass

The class would keep track of the previous value and whether a previous value was collected (i.e. we tried to sample a second value):

class cg_wrapper #(int unsigned WIDTH = 3);
  protected bit has_prev;
  protected bit [WIDTH-1 : 0] prev;

  // ...
endclass

To ensure that coverage is sampled at the appropriate points, the class would expose a sample(...) function (similar to what a covergroup has) that handles sampling the actual covergroup and storing the previous value:

class cg_wrapper #(int unsigned WIDTH = 3);
  // ...

  function void sample(bit [WIDTH-1 : 0] val);
    if (has_prev)
      cg.sample(val, prev);
    prev = val;
    has_prev = 1;
  endfunction
endclass

This will ensure that you'll get meaningful crosses. For example, calling sample(...) twice with values 0 and 1, will result in only a single "transition" from 0 to 1 (i.e. one bin in the cross getting filled).

If you want to start excluding bins the "transitions" you can use a lot different ways to do that. For example, to exclude identical transitions, you could do:

cross prev, val {
  ignore_bins ignore =
    (binsof (val) && binsof (prev)) with (prev == val);
}

This ignores transitions of type 0 => 0, 1 => 1, 2 => 2, etc.

There's also a nice article from AMIQ Consulting showcasing some cool ways of specifying cross bins.

0

Your first solution needs little modification. You have to use [] after bin name to make it auto bin. I think that is the reason why it was not working for you.

cover_point_y : coverpoint y { 
  bins transitions[] = ( 0, 1 => 0, 1 );
}
0

Assuming you want to cover consecutive increments a cover property could do the trick:

bit [7:0] y;

property y_inc(int n); @(posedge clk) y == $past(y+1)[*n]; endproperty
y_inc_3: cover property (y_inc(3));
y_inc_full: cover property (y_inc((1<<$bits(y))-1));

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.