0

How to calculate maximum number of iterations executed in one Clock Cycle of a given frequency in System Verilog.

For example: Clock = 50MHz. How many iterations of a for loop can I execute in one cycle?

2 Answers 2

0

It depends on what kind of logic the for loop represents. As an example, consider a loop that counts the number bits set in a bus. That would get unrolled into a chain of adders. So you would need to look at the delay across each adder.

0

There is no limit.

As to a limit in hardware: in synthesis loops are unrolled and in hardware execute in parallel. The delay of the logic generated sets your maximum operating frequency, as with all HDL code.

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.