1

I need to create uvm_environment of uart interface. The work almost done, except interface itself. I want to have two modports each contained input as rx and output as tx.

 DUT/UVM       if        DUT/UVM
 -------     ------      -------
|in   rx|<--|rx\ /rx|-->|rx  in |
|       |   |   x   |   |       |
|out  tx|-->|tx/ \tx|<--|tx  out|
 -------     ------      --------

I thought about something like this:

interface uart_internal_if();
    logic rx,tx;
endinterface
interface uart_if ();
    uart_internal_if if1;
    uart_internal_if if2;

    assign if1.rx = if2.tx;
    assign if2.rx = if1.tx;

    modport device1(input if1.tx, output if1.rx);
    modport device2(input if2.tx, output if2.rx);
endinterface

If it would work, I would be have equal modports and I would be not bothered of considirating which pin should be ouput for the DUT same for tb env. If I create inteface with names like rx1, tx1 I would have to always think which modport should go to the UVM and that's not good. Problem is, as I understand, I can't use internal interfaces in the modports, because I have following error:

 near ".": syntax error, unexpected '.', expecting ')' or ','

Is it possible to describe such thing?

0

1 Answer 1

2

SystemVerilog has a feature called modport expressions. This is similar to port expressions where the name of the port is different from the signal it is connected to.

interface uart_if ();
logic l1, l2;

    modport device1(input .rx(l1), output .tx(l2));
    modport device2(input .rx(l2), output .tx(l1));
endinterface

Then whichever modport you connect to, you can read rx, and write to tx.

module DUT(uart_if.device1 ut);

// ut.rx is really l1
// ut.tx is really l2

endmodule
0

Your Answer

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy.

Not the answer you're looking for? Browse other questions tagged or ask your own question.