Questions tagged [uvm]

Universal Verification Methodology: SystemVerilog class library

uvm
Filter by
Sorted by
Tagged with
0 votes
1 answer
705 views

Getting a handle to a derived class member using uvm_factory

If I have a base class and 3 derived classes, is there a method to access the derived class variables using a pointer of base class type? Example: (taken out irrelevant code - constructor etc) ...
noobuntu's user avatar
  • 903
0 votes
1 answer
13k views

Cross-module reference resolution error - verilog checks for undefined cross-module reference

I am working on an environment which has different compilation primitives as like COMP_ALL_MODULES - for compiling all modules COMP_SELECT_MODULES - for compiling a selected set of modules As such I ...
Chandrabhanu's user avatar
0 votes
1 answer
592 views

OVM: how to get test name in a class which declared inside the env?

We are using OVM not UVM: I have tried using: ovm_root::get().ovm_test_top.sprint(); But I get this error: Could not find member 'ovm_test_top' in class 'ovm_root', at ".../ovm_root.svh", ...
user1980750's user avatar
-3 votes
1 answer
703 views

How to eliminate race condition on a variable in systemverilog? [closed]

I have a variable in systemverilog that I am setting from a task and reading from another task. The read and the writes are independent. I want to ensure if both read and write are called at the same ...
justrajdeep's user avatar
0 votes
2 answers
418 views

Is there a way to write assertion or checker other than Verilog modeling for Zero-delay/width glitch?

I am verifying the clock itself and want to know if there is way to flag zero width glitch?
Vishwasu Deshpande's user avatar
0 votes
1 answer
355 views

Coverage for a bus with struct type

I have a bus of following type typedef struct packed { logic vld; logic [ASI_MAX_PCL_CYC_M:0] cyc; } type_t; with a certain width say [3:0] So type_t [3:0]...
Tejas Chavan's user avatar
-1 votes
1 answer
871 views

Questasim - Is it possible to log and reload signals on new design?

I am running a test (UVM) with lot of components. It is a Top-Level test, however I am debugging an internal module and I am only interested in the signals of the interfaces connected to that module. ...
Hellzzar's user avatar
  • 185
0 votes
1 answer
1k views

used-defined verbosity level (alias) for UVM reporting (using uvm_info)

In UVM, there are pre-defined verbosity levels: UVM_DEBUG UVM_FULL UVM_HIGH UVM_MEDIUM UVM_LOW UVM_NONE Actual reporting can be controlled using command line argument, e.g. +UVM_VERBOSITY=UVM_LOW (...
GorchM's user avatar
  • 11
1 vote
1 answer
170 views

event control "@" in systemverilog in uvm defined AFTER assignments

I'm trying to understand the UVM driver code defined in a "verificationguide.com" UVM env example : https://www.edaplayground.com/x/5r89 In the mem_driver.sv file, in the drive() task, the following ...
Aurora.mn's user avatar
7 votes
2 answers
2k views

Detect timescale in System Verilog

How do I detect the timescale precision used in a simulation from the source code ?. Consider I have a configuration parameter(cfg_delay_i) of some delay value given by user in timeunits as fs .If the ...
Sreejin TJ's user avatar
-1 votes
1 answer
575 views

capture $finish in uvm_component

I want to capture $finish in uvm_component. I mean my uvm_component needs to execute some custom code when $finish is called. I had used pre_abort call back in uvm_component. But problem is my ...
Murali Krishna's user avatar
-1 votes
1 answer
865 views

Both active and reactive UVM agent

I'm developing a UVM agent for a protocol which has both rx and tx transactions on same signals. How do I implement this? I thought about a driver which will get items from 2 different sequencers, ...
VerificationEng's user avatar
2 votes
1 answer
727 views

UVM DPI-C function import

Can somebody please educate me why we need DPI-C function import to do UVM specific functions like uvm_hdl_force or uvm_hdl_deposit even when force and deposit system verilog constructs exist? What ...
user1978273's user avatar
-1 votes
1 answer
380 views

Can a constraint of randomization be in child class while it has been declared in base class. If yes how?

class AAA; rand int a; rand bit b; constraint aaa; class BBB extends AAA ; constraint aaa {a>4 && a<67 ; b>10 && b<90 ;} endclass endclass module mode; ...
Pradyumna Panda's user avatar
0 votes
1 answer
2k views

forcing internal DUT signal from UVM driver

I have a scenario. I have uvm_driver which has a virtual interface vif. This virtual interface has modports with signal a. There are two levels of simulation. lower level L1 and upper level L2. In L1, ...
user1978273's user avatar
1 vote
0 answers
602 views

Difference between item_collected_port and item_observed_port

Today I stumbled upon an old environment to find out that there is an "item_observed port" which is used to export to monitor's analysis port but here I am not getting response back from DUT if I use ...
Emman's user avatar
  • 1,212
1 vote
1 answer
1k views

Is there a way to 'map' arrays in systemverilog?

I'm looking for an elegant way to map entries in an array, other than a for-loop that creates a new array. For example class A; int int_member; endclass A class_container[$]; int int_members_only[$...
Arun D'souza's user avatar
0 votes
1 answer
285 views

issue when running sequence library in UVM

when I'm running a sequence library in UVM, I got such error: "[SEQLIB/START] Starting sequence library top_random_seq_lib_v1 in unknown phase: 10 iterations in mode UVM_SEQ_LIB_RANDC". I'm confused ...
Yong Chen's user avatar
-1 votes
1 answer
447 views

Accessing internal modules(tb.dut.a.b) apb interface at top tb level

Is there any way I can connect an apb master vip to internal module inside dut which have apb signals in it . I want to program some registers in this deep inside dut module . I tried to connect an ...
Verifexplorer's user avatar
1 vote
2 answers
650 views

ignore coverage bin of one instance of covergroup

How to ignore coverage bin for particular instance; how to ignore bins one for cov2 instance ? class cov extends uvm_subscriber # (transfer) function new(string name, uvm_component parent); ...
pavan a's user avatar
  • 11
2 votes
2 answers
1k views

Is there a way to connect uvm_tlm_analysis_fifo to uvm_driver?

I need to connect a module output to it's input controlled by uvm_driver. I see it this way. ----- --------------------- | MON |---->|uvm_tlm_analysis_fifo|...
Андрей Солодовников's user avatar
1 vote
2 answers
1k views

Is there a way to access uvm_phase from the testbench top?

Is there a way to know in my testbench top about the current phase of the UVM hierarchy?. Since testbench top is a static module and UVM hierarchy is made of classes which are dynamic. In my ...
Sudhir Saligrama's user avatar
1 vote
1 answer
417 views

Changing clocking block clock polarity on the fly

I am creating UVM VIP which is able to switch its clock polarity. Clocking block is used in the interface. For example, a monitor should sample the data using posedge or negedge of incoming clock ...
AldoT's user avatar
  • 933
0 votes
1 answer
197 views

I am trying to apply constraints on some random packets (I could apply to fixed packets) that I have to send to DUT

The following code has two classes - packet and packet_1; packet class has properties length and mode, packet class has the constraints that are required for length and mode. In packet_1 class I want ...
Grace90's user avatar
  • 227
0 votes
3 answers
2k views

Can we have one uvm_reg_map connected to multiple sequencers

I have a situation where I have around 100000 registers in a uvm_reg_block. I have three drivers that can drive transactions to these registers. As per standard UVM RAL methodology, I understand we ...
user1978273's user avatar
0 votes
2 answers
342 views

Can I call start_item on a virtual sequencer

Lets say I have a virtual sequence (vseq) connected to a virtual sequencer (vsqr) which instantiates two physical sequencers (psqr1, psqr2). These two physical sequencers work on the same sequence ...
user1978273's user avatar
1 vote
1 answer
726 views

Domain separation in UVM

In order to reset the individual agents of the test environment, I try to transfer them to separate domains. However, I have encountered difficulty: when I set separate domain for an agent, sequence ...
Андрей Солодовников's user avatar
0 votes
1 answer
1k views

How to make a signal stable for quite some time in the assertion

Suppose I have an assertion as follows. Now here I want signal A to remain stable for some time after rising up. That is, A should be high until the first occurrence of D == 4 after that it can go low ...
the freethinker's user avatar
0 votes
1 answer
3k views

Do I need to avoid OOMR (Out of Module reference) code in UVM?

I'm setting up a new UVM code, and want to make OOMR code in my uvm code, Do I need to avoid OOMR (Out Of Module Reference) code concept in UVM? If don't need it, What should I have to check before ...
al01's user avatar
  • 51
0 votes
2 answers
3k views

How to access variables in sequence of UVM

I'm learning UVM and confused by how to access variables of sequence. For example, here is a piece of code for base_sequence which derived from UVM_sequence. Now I want to change value of n_times from ...
Yong Chen's user avatar
1 vote
2 answers
3k views

I am getting an error while trying to pass the data from scoreboard to sequence, how to get rid of it?

I am new to UVM and I am trying to verify a memory design where I am trying to run a write sequence multiple times followed by read sequence same number of times so that I could read the same ...
Grace90's user avatar
  • 227
1 vote
1 answer
447 views

Why do we need put_export and get_peek_export for uvm_tlm_fifo?

Section 12.2.8 of the IEEE UVM talks about the uvm_tlm_fifo classes. I was wondering why we need the exports put_export and get_peek_export? The same put and get methods can be used on the fifo ...
justrajdeep's user avatar
1 vote
1 answer
314 views

uvm_sequence_item get_type_name should be virtual

Looking at the uvm base classes, I noticed uvm_sequence_item method get_type_name is not defined as virtual. That means if I have a derived class that is downcasted to uvm_sequence_item handle, then ...
Viktorinox's user avatar
0 votes
1 answer
1k views

Is there a way to fix the warning related to the support of string-based lookup for the factory?

I often get this warning message: UVM_WARNING @ 0: reporter [TPRGED] Type name 'packet2mem_comp_Str' already registered with factory. No string-based lookup support for multiple types with the same ...
El_Gahaf's user avatar
0 votes
1 answer
267 views

What environment architecture to choose to verify multi-interface module

I'm going to test complex module which have axi4-stream and apb interfaces as inputs and axi4 interface as output. As I understand, I should build this kind of environment: ...
Андрей Солодовников's user avatar
0 votes
1 answer
112 views

How to set base test environment in order to use with inherit classes?

I have wrote axi4_stream test environment. It's work good, but now I need to test design with seq_item which inherit previous item. Theese item overrides convert2string and do_compare functions. I ...
Андрей Солодовников's user avatar
0 votes
1 answer
1k views

Pausing/restarting a sequence

I have a design that requires a pause in data traffic to enter a low power mode. Is there a way to pause the traffic generation sequence or driver to allow this happen? And then resume the sequence at ...
Pete's user avatar
  • 49
0 votes
1 answer
332 views

Connection from many port(in different agents) to one export (in scoreboard)

I have a many(port) to one(export) situation with my agents and the scoreboard. My doubt is how will I make sure that only one out of the many ports can write into the export at an instant of time so ...
the freethinker's user avatar
1 vote
1 answer
1k views

How do I populate a dynamic array via uvm factory

Hello lets say I have a code like this, the parameters NUM_MASTERS and NUM_SLAVES are defined in configuration object: class abc extends uvm_scoreboard; configuration cfg; wrapper_class master[]; //i ...
the freethinker's user avatar
0 votes
1 answer
574 views

I am executing several fork-joins concurrently,I want one statement skipped in a fork join block if it got executed in any of the other fork joins

lets say I have a code like this: for (int i=o;i<5;i++) begin automatic int j=i; fork thread(i); join_none end suppose thread is like this: thread() begin statement 1; statement 2; ...
the freethinker's user avatar
-1 votes
1 answer
235 views

Simulation never ends

I'm trying to learn UVM in SystemVerilog. I understand the very ideology of UVM, but I have difficulty writing a working case. I'm trying to write an apb testbench. It compiles and runs, which is ...
Андрей Солодовников's user avatar
0 votes
1 answer
696 views

System function to read value of a signal

Error: : (vlog-7027) Hierarchical reference not allowed from within a package. Is there a system function which can be used to get past this? I know that that using an interface is the right way to ...
Jean's user avatar
  • 22.1k
0 votes
1 answer
3k views

How to print coverage report in uvm?

I am trying to work on functional coverage for the first time so I created a mem_cov.sv file where I created a coverage class extending it from uvm_subscriber class and implemented the write function ...
Grace90's user avatar
  • 227
-1 votes
1 answer
99 views

UVM indexing into array by get_type_name

Is this possible? Get_type_name is a string. Can't I have an int array and use the name to index in? I get index expression type of illegal. Obj n1; int number[100]; n1 = new(); number[n1....
newton44's user avatar
0 votes
1 answer
743 views

How to fix 'port multiply driven' warnings System Verilog

I have an AXI UVC which can be configured to be either Master or Slave and an interface with 3 clocking blocks (mst_cb, slv_cb, mon_cb). I get warning messages telling me that a port is multiply ...
je_pat's user avatar
  • 15
1 vote
2 answers
2k views

How to write constraint for a transaction class in which I need only 50% packets to be randomized?

I need to write a transaction class such that each packet has a random SA[7:0] field, DA[7:0] field & a DATA field. The length of the data is random between 1 byte to 64 bytes. The content of the ...
Grace90's user avatar
  • 227
0 votes
1 answer
1k views

Usage of a super.body() variable is illegal as it's considered "not declared"

I extended the usage of my virtual task body() in a sequence class, and in the parent class declaration of body(), I declared a variable. However, upon using it in the extended class' body() , I faced ...
El_Gahaf's user avatar
1 vote
1 answer
316 views

How to get the hierarchy of register in register map by using the field name in sequence

I've a requirement where I need to re-use my sequence to write register present in different register map, the register name and structure(bit width, field endiness etc) differ in each map but the ...
Uday's user avatar
  • 19
1 vote
1 answer
2k views

assign statement using virtual interface variable

I want to connect the module variable to the port in virtual interface using assign statement. I created one interface and set it as virtual in config_db in my top module. I got the virtual interface ...
Somesh's user avatar
  • 72
0 votes
1 answer
2k views

Parametrized uvm sequence item to adjust size

I have an issue and I am out of ideas on how to resolve it. I have a class that contains an array called data. This dynamic array can be of parametrized packed width - either 8, 16, or 32 bits for ...
noobuntu's user avatar
  • 903

1 2 3
4
5
11